下载此beplayapp体育下载

第5章 vhdl语言要素.ppt


beplayapp体育下载分类:bepaly下载苹果 | 页数:约39页 举报非法beplayapp体育下载有奖
1 / 39
下载提示
  • 1.该资料是网友上传的,本站提供全文预览,预览什么样,下载就什么样。
  • 2.下载该beplayapp体育下载所得收入归上传者、原创者。
  • 3.下载的beplayapp体育下载,不会出现我们的网址水印。
1 / 39 下载此beplayapp体育下载
beplayapp体育下载列表 beplayapp体育下载介绍
第5章_vhdl语言要素,作为硬件描述语言的基本结构元素,主要有数据对象(DataObject,简称Object) 数据类型(DataType,简称Type) 各类操作数(Operands) 运算操作符(Oprator)一、VHDL文字规则1)数字型文字 1)整数文字:整数文字都是十进制的数,如:4,578,0,156E2(=15600), 45_234_287(=45234287)。数字间的下划线仅仅是为了提高文字的可读性,相当于一个空的间隔符。(2)实数文字:实数文字也都是一种十进制的数,但必须带有小数点,如:,,, (=)。一、VHDL文字规则(3)以数制基数表示的文字:用这种方法表示的数由五个部分组成。第一部分,用十进制数标明数制进位的基数;第二部分,数制隔离符号“#”;第三部分,数值部分;第四部分,指数隔离符号“#”;第五部分,用十进制表示的指数部分,这一部分的数如果是0可以省去不写。 10#170#--(十进制数表示,等于170); 2#1111_1110#--(二进制数表示,等于是254); 16##E+2--(十六进制数表示,)。一、VHDL文字规则(4)物理量文字(VHDL综合器不接受此类文字)如:50s(50秒),200m(200米),177A(177安培)。 注:只有整数可综合实现: 实数一般不可综合实现,主要是硬件实现实数运 算比较复杂,一般综合工具不支持物理量不可综合实现,主要用于仿真中一、VHDL文字规则2)字符及字符串型文字 (1)字符是用单引号引起来的ASCII字符,可以是数值,也可以是符号或字母,如:‘R’,‘A’,‘*’,‘Z’。字符串则是一维的字符数组,须放在双引号中。VHDL中有两种类型的字符串:文字字符串和数位字符串。 (2)文字字符串:它是用双引号引起来的一串文字,如:“”,“ERROR”,“BOTHSANDQEQUALTOL”,“X”。一、VHDL文字规则(2)数位字符串:数位字符串也称位矢量,是预定义的数据类型BIT的一位数组,它们所代表的是二进制、八进制或十六进制的数组,其位矢量的长度即为等值的二进制数的位数。数位字符串的表示首先要有计算基数,然后将该基数表示的值得放在双引号中,基数符以“B”、“O”、和“X”表示,并放在字符串的前面。它们的含义分别是: B:二进制基数符号,表示二进制数位0或1,在字 符串中每一个位表示一个BIT。一、VHDL文字规则O:八进制基数符号,在字符串中的第一个数代表一 个八进制数,即代表一个3位(BIT)的二进制数。 X:十六进制基数符号(0~1),代表一个十六进制 数,即代表一个4位的二进制数。 例如:B“1_1101_1110”二进制数数组,位矢数组长度 是9;X“AD0”--十六进制数数组,位矢数组长度 是12。一、VHDL文字规则3)标识符 标识符用来定义常数、变量、信号、端口、子程序或参数的名字。VHDL的基本标识符是(87标准) 以英文字母开头, 不连续使用下划线“_”,不以下划线“_”结尾的, 由26个大小写英文字母、数字0~9以及下划线“_”组成 VHDL’93标准还支持扩展标识符,但是目前仍有许多VHDL工具不支持扩展标识符。标识符中的英语字母不分大小写。 VHDL的保留字不能用于作为标识符使用。一、VHDL文字规则如:DECODER_1,FFT,Sig_NNOT_ACK,State0,Idle是合法的标识符。而_DECOER_1,2FFT,SIG_#N,NOT—ACK,RYY_RST_,data__BUS,则是非法的标识符。4)下标名下标名则用于指示数组型变量或信号的某一元素,其语句格式如下: 数组类型符号名或变量名(表达式); 表达式的数值必须在数组元素下标号范围以内,并且是可计算的。 y<=b(4) x<=a(n)n如果预先没有赋值,那就属于不可计算的一、VHDL文字规则5)段名段名对应数组中某一段的元素,是多个下标名的组合。其表达式数组类型符号名或变量名(表达式方向表达式) 方向: TO表示是数组下标序列由低到高,如“3TO8” DOWNTO表示数组下标序列由高到低,如“9DOWNTO2”(注意与“2TO9”的区别)如: SIGNALA,B,C:BIT_VECTOR(0TO5); SIGNALM:INTEGERRANGE4TO0;

第5章 vhdl语言要素 来自beplayapp体育下载www.apt-nc.com转载请标明出处.

相关beplayapp体育下载 更多>>
非法内容举报中心
beplayapp体育下载信息
  • 页数39
  • 收藏数0收藏
  • 顶次数0
  • 上传人miao19720107
  • 文件大小85 KB
  • 时间2020-07-02